An Efficient Sense Amplifier for SRAM using Body Biasing

نویسندگان

  • Meenakshi Thakur
  • Rajesh Mehra
چکیده

This paper proposes design of a low power sense amplifier. It is designed for the low power and delay of the circuit by using the variable threshold mos devices. Sense amplifiers are used in the memories to increase the speed for accessing data from different locations. So the speed of data read of SRAM is highly reliable on the design of sense amplifiers. The introduced circuit is tested under the various conditions of fast changing current to maximum and minimum value and then obtained results are analysed. The designed circuit results in the low power consumption of about 186μw and delay of .87ns with the area of 305.9875μm.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Leakage Reduction Using Power Gating Techniques in Sram Sense Amplifiers

Now-a-days leakage power is an important issue in microprocessor’s and hardware’s. In modern computer systems memory components covers 70 to 80 percent of total area of microprocessors that means memory contains more number of transistors. Generally leakage power dissipation proportional to the number of transistors. So the leakage power dissipation is more in the memories. In high performance ...

متن کامل

SRAM Memory Components Operating at Sub-threshold Voltages

This paper compares the performance of the common 6T SRAM design and an improved 8T design in sub-threshold operation. Optimal parameters for the transistors are derived based on experimental data. The impact of process variation on SRAM’s performance and SNM are analyzed, together with redundancy as an alternative approach to reduce failure rate. Finally, in addition to bit-cells, various type...

متن کامل

ISSCC 2007 / SESSION 18 / SRAM / 18 . 4 18 . 4 A 65 nm 8 T Sub - Vt SRAM Employing Sense - Amplifier Redundancy

The subthreshold regime is a critical biasing space as it enables minimum energy operation for logic circuits [1]. However, practical systems rely heavily on SRAMs, which conventionally limit the minimum VDD to above Vt. SRAMs often dominate the total die area and power, and minimizing their energy requires scaling VDD as low as possible. In this work, a 256kb SRAM in 65nm CMOS is presented tha...

متن کامل

Comparative BTI Impact for SRAM Cell and Sense Amplifier Designs

Bias Temperature Instability (BTI) in transistors has become a major reliability challenge with the continuous downscaling of CMOS technologies. This paper presents the impact of BTI on SRAM cells and sense amplifiers (SA) while considering both high performance (HP) and low power (LP) designs in 45nm technology node. The results show that the HP designs degrades more than 2× faster than LP des...

متن کامل

Design of an Embedded Fully-Depleted SOI SRAM

We describe the design of an embedded 128-Kb Silicon-OnInsulator (SOI) CMOS SRAM, which is integrated alongside an array of pitch-matched processing elements to provide massively-parallel data processing within one integrated circuit. An experimental 0.25m fully-depleted SOI process was used. The design and layout of the SOI memory core and results from calibrated circuit simulations are presen...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2016