Removal of power-line interference from the ECG: a review of the subtraction procedure

نویسندگان

  • Chavdar Levkov
  • Georgy Mihov
  • Ratcho Ivanov
  • Ivan Daskalov
  • Ivaylo Christov
  • Ivan Dotsinsky
چکیده

BACKGROUND Modern biomedical amplifiers have a very high common mode rejection ratio. Nevertheless, recordings are often contaminated by residual power-line interference. Traditional analogue and digital filters are known to suppress ECG components near to the power-line frequency. Different types of digital notch filters are widely used despite their inherent contradiction: tolerable signal distortion needs a narrow frequency band, which leads to ineffective filtering in cases of larger frequency deviation of the interference. Adaptive filtering introduces unacceptable transient response time, especially after steep and large QRS complexes. Other available techniques such as Fourier transform do not work in real time. The subtraction procedure is found to cope better with this problem. METHOD The subtraction procedure was developed some two decades ago, and almost totally eliminates power-line interference from the ECG signal. This procedure does not affect the signal frequency components around the interfering frequency. Digital filtering is applied on linear segments of the signal to remove the interference components. These interference components are stored and further subtracted from the signal wherever non-linear segments are encountered. RESULTS Modifications of the subtraction procedure have been used in thousands of ECG instruments and computer-aided systems. Other work has extended this procedure to almost all possible cases of sampling rate and interference frequency variation. Improved structure of the on-line procedure has worked successfully regardless of the multiplicity between the sampling rate and the interference frequency. Such flexibility is due to the use of specific filter modules. CONCLUSION The subtraction procedure has largely proved advantageous over other methods for power-line interference cancellation in ECG signals.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Removing ECG Artifact from the Surface EMG Signal Using Adaptive Subtraction Technique

Background: The electrocardiogram artifact is a major contamination in the electromyogram signals when electromyogram signal is recorded from upper trunk muscles and because of that the contaminated electromyogram is not useful.Objective: Removing electrocardiogram contamination from electromyogram signals.Methods: In this paper, the clean electromyogram signal, electrocardiogram artifact and e...

متن کامل

Estimation of harmonic interference parameters of surface-NMR signal using an adaptive method and residual signal power

Surface nuclear magnetic resonance (surface-NMR) method is a well-known tool for determining the water-bearing layers and subsurface resistivity structure. Harmonic interference is an inevitable interference in surface-NMR measurements. Accurate estimation of harmonic interference parameters (i.e., fundamental frequency, phase and amplitude) leads to better retrieval of power-line harmonics and...

متن کامل

Generalised Subtraction Procedure for Removing Power-Line Interference from ECG: Case of Powerline Frequency Deviation

The present work continues the generalisation of the subtraction procedure, which removes the power-line interference without affecting the components intrinsic to the ECG. It is based on previous investigations, dealing separately with the cases of odd and even multiplicity/non-multiplicity between the sampling rate and the power-line frequency. The study proposes a common equations and algori...

متن کامل

Real-time Subtraction Procedure for Eliminating Power-line Interference from Ecg

Presented is the algorithm of the subtraction procedure for removing powerline interference from ECG signals. The existing MatLab prototype version is adapted for real time execution on DSP and programmable logic (FPGA) platforms. The algorithm is ported and experimented in case of odd sample number in one period of the interference. The corresponding formulas for even sample number are given t...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • BioMedical Engineering OnLine

دوره 4  شماره 

صفحات  -

تاریخ انتشار 2005