Interconnect Lifetime Prediction with Temporal and Spatial Temperature Gradients for Reliability-Aware Design and Runtime Management: Modeling and Applications

نویسندگان

  • Zhijian Lu
  • Wei Huang
  • Mircea Stan
  • Kevin Skadron
  • John Lach
چکیده

Thermal effects are becoming a limiting factor in high performance circuit design due to the strong temperature dependence of leakage power, circuit performance, IC package cost and reliability. While many interconnect reliability models assume a constant temperature, this paper analyzes the effects of temporal and spatial thermal gradients on interconnect lifetime in terms of electromigration. For temporal thermal variations, we present a physics-based dynamic model for estimating interconnect lifetime for any time-varying temperature/current profile, and this model returns reliability equivalent temperature and current density that can be used in traditional reliability analysis tools. For spatial temperature gradients, we give close bounds in terms of uniformly distributed temperatures to estimate the lifetime of interconnects subject to non-uniform temperature distribution. Our results are verified with numerical simulations and reveal that blindly using the maximum temperature leads to very inaccurate or too pessimistic lifetime estimation. In fact, our dynamic model reveals that when the temporal temperature variation is small, average temperature (instead of worst-case temperature) can be used to accurately predict interconnect lifetime. Therefore, our results not only increase the accuracy of reliability estimates, but they also enable designers to reclaim design margin in reliability-aware design. In addition, our dynamic reliability model is useful for improving the performance of temperature-aware dynamic runtime management by modeling reliability as a resource to be consumed at a stress-dependent rate. This report supersedes TR CS-2005-10.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Temperature-Aware Modeling and Banking of IC Lifetime Reliability

Most existing integrated circuit (IC) reliability models assume a uniform, typically worst-case, operating temperature, but temporal and spatial temperature variations affect expected device lifetime. As a result, design decisions and dynamic thermal management (DTM) techniques using worst-case models are pessimistic and result in excessive design margins and unnecessary runtime engagement of c...

متن کامل

The Importance of Temporal and Spatial Temperature Gradients in IC Reliability Analysis

Existing IC reliability models assume a uniform, typically worst-case, operating temperature, but temporal and spatial temperature variations affect expected device lifetime. This paper presents a model that accounts for temperature gradients, dramatically improving interconnect and gate-oxide lifetime prediction accuracy. By modeling expected lifetime as a resource that is consumed over time a...

متن کامل

Banking Chip Lifetime: Opportunities and Implementation

Most existing integrated circuit reliability models assume a uniform, typically worst-case, operating temperature, but temporal and spatial temperature variations affect expected device lifetime. As a result, design decisions and dynamic thermal management techniques using worst-case models are pessimistic and result in excessive design margins and unnecessary runtime engagement (and associated...

متن کامل

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

One of the most common causes of IC failure is interconnect electromigration (EM), which exhibits a rate that is exponentially dependent on temperature. As a result, EM rate is one of the major determinants of the maximum tolerable operating temperature for an IC and of resulting cooling costs. Previous EM models have assumed a uniform, typically worst-case, temperature. This paper presents a m...

متن کامل

Interconnect Lifetime Prediction for Temperature - Aware Design

Thermal effects are becoming a limiting factor in high-performance circuit design due to the strong temperature-dependence of leakage power, circuit performance, IC package cost and reliability. Temperature-aware design tries to maximize performance under a given thermal envelope through various static and dynamic approaches. While existing interconnect reliability models assume a constant temp...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2006