Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuits

نویسندگان

  • Chih-Ang Chen
  • Sandeep K. Gupta
چکیده

This paper describes a new Boolean satisfiability based formulation to generate robust tests for path delay faults in combinational circuits. Conditions to detect a target path delay fault are represented by a Boolean formula. Unlike the technique described in [30], which extracts the formula for each path delay fault, the proposed formulation needs to extract the formula only once for each circuit cone. Experimental results show tremendous time saving on formula extraction compared to other satisfiability-based ATPG algorithms. This also leads to low test generation time, especially for circuits that have many paths but few outputs. The proposedformulation has also been modified to generate other types of tests for path delay faults. •This research was funded by NSF Research Initiation Award no. MIP-9210S71 and NSF CAREER Award no. MIP-9502300.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability

Reduction and for Path Delay Fault Testability Angela Krsti c and Kwang-Ting (Tim) Cheng Department of ECE, University of California, Santa Barbara, CA 93106 Abstract Path delay fault model is the most suitable model for detecting distributed manufacturing defects that can cause delay faults. However, the number of paths in a modern design can be extremely large and the path delay testability o...

متن کامل

ALGORITHMS FOR TEST GENERATION AND FAULT SIMULATION OF PATH DELAY FAULTS IN LOGIC CIRCUITS A Thesis

Ascertaining correct operation of digital logic circuits requires veri cation of functional behavior as well as correct operation at desired clock speed The maximum allowable clock rate in a digital circuit is determined by the propagation delays of the combinational logic network between latches If the delay of the manufactured network exceeds speci cations due to some physical defects or proc...

متن کامل

A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD

On Compact Test Sets for Multiple Stuck-At Faults for Large Circuits p. 20 Identification of Feedback Bridging Faults with Oscillation p. 25 Delay Fault and Memory Test Defining SRAM Resistive Defects and Their Simulation Stimuli p. 33 Vector-Based Functional Fault Models for Delay Faults p. 41 Easily Path Delay Fault Testable Non-Restoring Cellular Array Dividers p. 47 March Tests for Word-Ori...

متن کامل

Hierarchical Delay Test Generation

Delay testing is used to detect timing errors in a digital circuit. In this paper, we report a tool called MODET for automatic test generation for path delay faults in modular combinational circuits. Our technique uses precomputed robust delay tests for individual modules to compute robust delay tests for the module-level circuit. We present a longest path theorem at the module level of abstrac...

متن کامل

Accurate Delay-Fault ATPG in High-Speed CPLDs

An accurate delay model has been developed and integrated in a delay-fault test-pattern generator. The approach is based on extensive multi-input logic gate timing characterisation and layout extraction for interconnection wiring delays. The generator is capable of detecting small delay faults in combinational as well as sequential circuits. It provides the optimal observation times for detecti...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2014