Exploiting Register-Usage for Saving Register-File Energy in Embedded Processors

نویسندگان

  • Wann-Yun Shieh
  • Chien-Chen Chen
چکیده

Low power register file design plays an important role in an embedded processor. In this paper, we exploit register-usage in a program to find out unused registers, and turn these unused registers into low power mode by annotating power-controlling instructions. The whole work is performed by applying the hardware/software co-design principle. For the hardware part, we propose a voltage-scaling control logic to supply voltages for each register. For the software part, we propose a power-controlling-code annotation approach to determine the voltage scaling behavior for each register. Simulation results show that the proposed approach outperforms the other related approaches in terms of the energy-delay product.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Saving Register-File Leakage Energy by Register-usage Exploiting

Applying dynamic voltage scaling technique to design a low-power register file plays an important role in modern embedded-processor design. In this paper, we propose a compiler-aided approach to find out in a program which and when the registers would not be used, and to turn these unused registers into low power mode through voltagescaling controls. The proposed approach can be partitioned int...

متن کامل

Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB

Modern portable or embedded systems support more and more complex applications. These applications make embedded devices require not only low powerconsumption, but also high computing performance. To enhance performance while hold energy constraints, some high-end embedded processors adopt conventional features to exploit instruction-level parallelism and increase clock rates. The reorder buffe...

متن کامل

Energy-aware compilation and hardware design for VLIW embedded systems

Tomorrow’s embedded devices need to run multimedia applications demanding high computational power with low energy consumption constraints. In this context, the register file is a key source of power consumption and its inappropriate design and management severely affects system power. In this paper, we present a new approach to reduce the energy of shared register files in forthcoming embedded...

متن کامل

Energy Efficient Application Specific Banked Register Files

Register files account for a significant fraction of the power dissipation in modern RISC processors. Register file banking is an effective alternative to monolithic register files in embedded systems. We propose a profile-based technique to arrive at a customized energy-efficient bank configuration for a given application on a dual bank register file. The technique consists of a register renam...

متن کامل

Floating accumulator architecture

Although technology advancement can pack more and more physical registers in processors, the numbers of architectured registers defined by the instruction set architectures (ISAs) remain relatively small on most modern processors. Exposing more architectured registers to compilers and programmers can improve the effectiveness of compiler optimization and the quality of code. However, increasing...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2005