نتایج جستجو برای: adenine thymine chain

تعداد نتایج: 316174  

Journal: :Genes & genetic systems 2010
Hae-Jin Hu Sung-Ho Goh Yeon-Su Lee

Alternative splicing is a main component of protein diversity, and aberrant splicing is known to be one of the main causes of genetic disorders such as cancer. Many statistical and computational approaches have identified several major factors that determine the splicing event, such as exon/intron length, splice site strength, and density of splicing enhancers or silencers. These factors may be...

Journal: :Chemical communications 2014
Jia Ge Zhi-Mei Huang Qiang Xi Ru-Qin Yu Jian-Hui Jiang Xia Chu

A novel fluorescent nanosensor has been developed for detecting biothiols including cysteine and glutathione using graphene oxide based hairpin DNA-selective fluorescence quenching and thymine-Hg(II)-thymine coordination-controlled hybridization chain reaction, which provides a simple but the most sensitive platform for biothiol assays.

2014
Konosuke Aoyama

The nucleic acid bases that form DNA can serve as a useful hole-transport (electron blocking) layer in organic light emitting diodes (OLEDs), according to scientists in the USA. Eliot Gomez and co-workers report that because adenine and thymine can be thermally evaporated, they can be easily incorporated into the fabrication of OLEDs made by vacuum deposition and offer efficient hole-transport ...

Journal: :Biochimica et biophysica acta 2003
J Ruiz-Chica M A Medina F Sánchez-Jiménez F J Ramírez

The interaction between the 15-mer oligonucleotide d[A(TA)(7)].d[T(AT)(7)] and the three biogenic polyamines, putrescine, spermidine and spermine, under physiological conditions has been studied by Raman spectroscopy. Solutions containing 60 mM (phosphate) of the oligonucleotide and different polyamine concentrations ranging from 1 to 75 mM have been studied. Both natural and heavy water were u...

2014

Let’s go back to the basics of DNA. DNA is the blueprint that tells the cell through RNA what proteins to make. It is usually composed of two strands of nucleotides (remember some viruses can be single stranded). A nucleotide is made from nitrogenous bases, purines (adenine & guanine) and pyrimidines (cytosine & thymine). They will pair up according to base pair rules, adenine pairs with thymin...

2015
L Guillaumes S Simon C Fonseca Guerra

Invited for this issues cover are Dr. Célia Fonseca Guerra from the VU University of Amsterdam and her collaborators at the University of Girona. The cover picture shows H-bonds in the adenine-thymine Watson-Crick base pair. An essential part of these H-bonds is their covalent component arising from donor-acceptor interactions between N or O lone pairs and the N-H antibonding σ* acceptor orbita...

Journal: :Proceedings of the National Academy of Sciences 2001

نمودار تعداد نتایج جستجو در هر سال

با کلیک روی نمودار نتایج را به سال انتشار فیلتر کنید