نتایج جستجو برای: branch prediction

تعداد نتایج: 328474  

2010
Rajendra Kumar

In this paper we introduce control flow prediction (CFP) in parallel register sharing architecture. The main idea behind this concept is to use a step beyond the prediction of common branch and permitting the hardware to have the information about the CFG (Control Flow Graph) components of the program to have better branch decision in navigation. The degree of ILP depends upon the navigation ba...

Journal: :Journal of Systems Architecture 1997
Jongbok Lee Wonyong Sung Soo-Mook Moon

This paper proposes an enhanced method of multiple branch prediction using a per-primary branch history table. This scheme improves the previous ones based on a single global branch history register, by reducing interferences among histories of diierent branches caused by sharing a single register. This scheme also allows the prediction of a branch not to aaect the prediction of other branches ...

2007
Suleyman Sair David R. Kaeli Jose Fridman

Digital signal processors (DSPs) have begun to utilize architectural features typically found on general purpose microprocessors. The impact of using many of these features includes an increased dependence on performance optimizations both in hardware and software. Sophisticated analysis tools are needed in order to better understand the performance implications of these optimizations when appl...

2008
Takashi Yokota Kanemitsu Ootsu Takanobu Baba

Predictors essentially predicts the most recent events based on the record of past events, history. It is obvious that prediction performance largely relies on regularity–randomness level of the history. This paper concentrates on extracting effective information out from branch history, and discuss expected performance of branch predictors. For this purpose, this paper introduces entropy point...

1996
Timothy H. Heil James. E. Smith

Selective Dual Path Execution (SDPE) reduces branch misprediction penalties by selectively forking a second path and executing instructions from both paths following a conditional branch instruction. SDPE restricts the number of simultaneously executed paths to two, and uses a branch prediction confidence mechanism to fork selectively only for branches that are more likely to be mispredicted. A...

2004
Josh Karlin Darko Stefanovic Stephanie Forrest

We describe a new branch predictor that is designed to balance multiple constraints—predicting branch biases versus predicting specific branch instance behavior. Most branch instances only require branch bias information for accurate predictions while a select few require more sophisticated prediction structures. Our predictor uses a cache mechanism to classify branches and dynamically adjust t...

2002
Amirali Baniasadi Andreas Moshovos

We introduce Branch Predictor Prediction (BPP) as a power-aware branch prediction technique for high performance processors. Our predictor reduces branch prediction power dissipation by selectively turning on and off two of the three tables used in the combined branch predictor. BPP relies on a small buffer that stores the addresses and the sub-predictors used by the most recent branches execut...

2005
Veerle Desmet Hans Vandierendonck Koen De Bosschere

As a result of resource limitations, state in branch predictors is frequently shared between uncorrelated branches. This interference can significantly limit prediction accuracy. In current predictor designs, the branches sharing prediction information are determined by their branch addresses and thus branch groups are arbitrarily chosen during compilation. This feasibility study explores a mor...

2004
Tao Zhang Weidong Shi Santosh Pande

In this paper, we illustrate the application of two static techniques to reduce the activities of the branch predictor in a processor leading to its significant power reduction. We introduce the use of a static branch target buffer (BTB) that achieves the similar performance to the traditional branch target buffer but which eliminates most of the state updates thus reducing the power consumptio...

1995
Augustus K. Uht Vijay Sindagi

Instruction Level Parallelism (ILP) speedups of an order-of-magnitude or greater may be possible using the techniques described herein. Traditional speculative code execution is the execution of code down one path of a branch (branch prediction) or both paths of a branch (eager execution), before the condition of the branch has been evaluated, thereby executing code ahead of time, and improving...

نمودار تعداد نتایج جستجو در هر سال

با کلیک روی نمودار نتایج را به سال انتشار فیلتر کنید